HEXALINX
533 subscribers
147 photos
4 files
74 links
این آغاز ماجراجویی شماست...
آموزش رایگان برنامه نویسی FPGA و ZYNQ و ابزارهای طراحی XILINX

پرسش و پاسخ:
@ask_linx

آدرس سایت:
hexalinx.com

آدرس کانال آپارات:
aparat.com/hexalinx

آدرس اینستاگرام:
insatagram.com/hexalinx_go
Download Telegram
#XPM, #Macros, #Primitives

✳️ در جدیدترین مقاله منتشر شده در پایگاه دانش هگزالینکس قصد داریم در رابطه با XPM صحبت کنیم. اینکه XPM چیست، چه کاربردی دارد و چگونه باید از آن‌ها استفاده کرد؟

عبارت Xilinx Parametrized Macros-XPM با ترجمه تحت لفظی ماکروهای پارامتری شده Xilinx به آن دسته از عناصر ساده کتابخانه‌ای در محیط توسعه Vivado اطلاق می‌شود که به صورت معمول در تمامی طراحی‌های HDL مورد نیاز هستند. به طور کلی XPM ها ماژول‌های نوشته شده با زبان SystemVerilog هستند که در محیط توسعه Vivado تجمیع شده‌اند. حافظه‌های RAM و ROM و FIFO ها و همینطور عناصر CDC (عناصر مورد استفاده برای سنکرون ‌سازی کلاک بین دو محدوده کلاک) ماژول‌هایی هستند که Xilinx برای آن‌ها XPM ارائه کرده است و جزئیات آن به شرح زیر است.

1️⃣ عناصر XPM_MEMORY مبتنی بر ساختارهای حافظه RAM و ROM
2️⃣ عناصرXPM_CDC مبتنی بر پیاده سازی مدارات پایدار برای تبادل امن دیتا بین دو محدوده کلاک
3️⃣ عناصر XPM_FIFO مبتنی بر ساختارهای FIFO برای تبادل سنکرون و آسنکرون اطلاعات

❗️برای استفاده از عناصر XPM در Vivado دو راه داریم ...

مطالعه ادامه مطلب »

@Hexalinx